当前位置:首页 > 装机升级 > CPU > 新闻
纳米制程被抛弃?Intel宣布全新CPU工艺路线图
  • 2021/7/28 10:04:14
  • 类型:原创
  • 来源:电脑报
  • 报纸编辑:电脑报
  • 作者:
【电脑报在线】前不久Intel宣布即将举行一次重要会议,今天凌晨这个会议正式召开,介绍了Intel在芯片工艺及封装上的进展,其中一个重要内容就是全新的CPU工艺路线图。而且Intel真的改名了,10nm工艺变成了Intel 7,7nm变成了Intel 4,未来还有Intel 3、Intel 20A。


01


Intel宣布全新CPU工艺路线图


Intel是坚定的摩尔定律捍卫者,尽管每代工艺的技术指标是最强的,但在进度上确实已经落后于台积电、三星,现在量产了10nm工艺,台积电已经是第二代5nm工艺了,明年还有3nm工艺。


工艺命名上始终落后对手,对Intel来说宣传很不利,早前网友调侃说Intel应该把10nm改成7nm,毕竟他们的晶体管指标确实达到甚至超过了台积电7nm的水平,没想到这个调侃成真,Intel这次真的改名了,但改的方式也有点独特。


此前的10nm Enhanced SuperFin工艺改为Intel 7——对,没有7nm字样,官方就叫做Intel 7,你当7nm也行,但Intel没明确说是7nm,这次就是改了,但又没改。


相比Tiger Lkae上的10nm Superfin工艺,Intel 7工艺的每瓦性能提升10-15%(注意Intel介绍性能提升的方式也变了,说的是每瓦性能),首先应用于今年底的Alder Lake,数据中心处理器Sapphire Rapids则会在明年用上Intel 7工艺。


Intel原先的7nm工艺则会改名为Intel 4,这会是Intel首个应用EUV光刻工艺的FinFET工艺,每瓦性能提升20%,2022年下半年开始生产,2023年产品出货,就是之前的7nm Meteor Lake处理器。


Intel 4工艺之后是Intel 3工艺,是最后一代FinFET工艺,每瓦性能提升18%,2023年下半年开始生产。


再往后Intel也会放弃FinFET晶体管技术,转向GAA晶体管,新工艺名为Intel 20A,会升级到两大突破性技术——PowerVia、RibbonFET,前者是Intel独创的供电技术,后者是GAA晶体管的Intel技术实现,预计2024年问世。


2025年及之后的工艺还在开发中,命名为Intel 18A,会继续改进RibbonFET工艺,同时会用上ASML下一代的高NA EUV光刻机,量产时间不定。



02


不单单是改名游戏


据悉,英特尔有望率先获得业界第一台 High-NA EUV 光刻机。此外,AWS 成为第一个使用英特尔代工服务(IFS)封装解决方案的客户,高通也将采用 Intel 20A 制程工艺技术。


“对于未来十年走向超越 1nm 节点的创新,英特尔有着一条清晰的路径。”英特尔 CEO 帕特?基辛格发表演讲时谈道,“英特尔的最新命名体系,是基于我们客户看重的关键技术参数而提出的,即性能、功率和面积。”


当然,这次的改革有一点让网友感觉惊讶的地方,那就是Intel真的如之前网友调侃的段子那样,上来就玩了一把给工艺改名的游戏——年底的10nm ESF工艺改名为Intel 7,计划中的7nm改名为Intel 4,未来还会继续推出Intel 3工艺,这次的改名直接让Intel跟台积电站到同一起跑线上了,毕竟台积电2023年量产的也是3nm工艺,同样也是最后一代FinFET工艺。


调侃归调侃,但是Intel这次推出的工艺不仅仅是改名那么简单,有一件事非常值得关注,那就是Intel要率先从纳米时代进入埃米时代(Ångstrom,1纳米等于10埃米),这就是Intel预计2024年推出的Intel 20A工艺,其中的A就指的是埃米。


到了埃米时代,20A工艺有两大革命性新技术,RibbonFET及PowerVia,前者就是类似三星的GAA环绕栅极晶体管,PoerVia则首创取消晶圆前侧的供电走线,改用后置供电,也可以优化信号传输。


现在20A工艺的具体细节还没公布,但从字面意义上来看,20A差不多是2nm工艺的水平,倒也符合3nm之后的摩尔定律进步。


如果用一句话解释Intel的目标,那就是CEO基辛格日前在媒体采访中的表态——在2024年到2025年间,Intel将重返半导体技术领先地位。



03


快速落地的代工服务


随着英特尔全新 IDM2.0 战略的实施,封装对于实现摩尔定律变得更加重要。英特尔宣布,AWS 将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。


EMIB 作为首个 2.5D 嵌入式桥接解决方案将继续引领行业,英特尔自 2017 年以来一直在出货 EMIB 产品。Sapphire Rapids 将成为采用 EMIB(嵌入式多芯片互连桥接)批量出货的首个至强数据中心产品,也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继 Sapphire Rapids 之后,下一代 EMIB 的凸点间距将从 55μm 缩短至 45μm。


为了继续保持在先进封装领域的领导地位,英特尔正着眼于 2023 年交付 Foveros Omni 和 Foveros Direct 之外的其他未来规划,将在未来几代技术中从电子封装过渡到集成硅光子学的光学封装。


英特尔将继续与包括 Leti、IMEC 和 IBM 在内的产业伙伴密切合作,在以上和其他诸多创新领域进一步发展制程和封装技术。



04


Meteor Lake处理器Q3试产


而在消费市场,2021年对Intel来说异常重要,今年该公司的制程工艺终于走上正轨,现在已经传来多个喜讯——10nm成本大降45%,产能也超过了14nm,成为新的主力,7nm工艺进展良好,2023年随着Meteor Lake首发。


Meteor Lake是Intel下下下代酷睿处理器,按照规划应该是14代酷睿,中间隔着10nm工艺的12代酷睿Alder Lake、13代酷睿Raptor Lake,后两者使用的是LGA1700插槽,Meteor Lake传闻是LGA1800插槽。


虽然7nm工艺跟最初宣布的进度相比也延期了至少1年,不过这一次的进展不错,Meteor Lake处理器今年5月份的时候已经完成芯片的“Tape-in”。Tape-in在Tape-Out(流片)前,大概是IP模块完成设计验证阶段。


设计完成之后,下一步就要准备流片、验证了,Intel人员提到Q3季度7nm的Meteor Lake处理器就会在第一条7nm生产线上试产(意味着最晚不过2个月时间),当然这还是很早期的工程样品,具体情况还没有什么信息。


7nm工艺会是Intel的一次翻身仗,虽然2023年量产的时候友商已经有5nm、3nm工艺了,但是Intel的7nm工艺晶体管密度达到了1.8亿晶体管每平方毫米,技术水平追上台积电的5nm、三星3nm工艺。


对于Meteor Lake处理器,除了7nm工艺之外,这次还会用上Foveros 3D封装技术,里面会集成不同工艺的IP核心,这也是Intel首次在主流桌面处理器用上多芯片封装技术,以前的胶水多核只是简单的2D封装,现在是3D封装多芯片结构了。


01


Intel宣布全新CPU工艺路线图


Intel是坚定的摩尔定律捍卫者,尽管每代工艺的技术指标是最强的,但在进度上确实已经落后于台积电、三星,现在量产了10nm工艺,台积电已经是第二代5nm工艺了,明年还有3nm工艺。


工艺命名上始终落后对手,对Intel来说宣传很不利,早前网友调侃说Intel应该把10nm改成7nm,毕竟他们的晶体管指标确实达到甚至超过了台积电7nm的水平,没想到这个调侃成真,Intel这次真的改名了,但改的方式也有点独特。


图片

此前的10nm Enhanced SuperFin工艺改为Intel 7——对,没有7nm字样,官方就叫做Intel 7,你当7nm也行,但Intel没明确说是7nm,这次就是改了,但又没改。


相比Tiger Lkae上的10nm Superfin工艺,Intel 7工艺的每瓦性能提升10-15%(注意Intel介绍性能提升的方式也变了,说的是每瓦性能),首先应用于今年底的Alder Lake,数据中心处理器Sapphire Rapids则会在明年用上Intel 7工艺。


Intel原先的7nm工艺则会改名为Intel 4,这会是Intel首个应用EUV光刻工艺的FinFET工艺,每瓦性能提升20%,2022年下半年开始生产,2023年产品出货,就是之前的7nm Meteor Lake处理器。


Intel 4工艺之后是Intel 3工艺,是最后一代FinFET工艺,每瓦性能提升18%,2023年下半年开始生产。


图片


再往后Intel也会放弃FinFET晶体管技术,转向GAA晶体管,新工艺名为Intel 20A,会升级到两大突破性技术——PowerVia、RibbonFET,前者是Intel独创的供电技术,后者是GAA晶体管的Intel技术实现,预计2024年问世。


2025年及之后的工艺还在开发中,命名为Intel 18A,会继续改进RibbonFET工艺,同时会用上ASML下一代的高NA EUV光刻机,量产时间不定。



02


不单单是改名游戏


据悉,英特尔有望率先获得业界第一台 High-NA EUV 光刻机。此外,AWS 成为第一个使用英特尔代工服务(IFS)封装解决方案的客户,高通也将采用 Intel 20A 制程工艺技术。


图片


“对于未来十年走向超越 1nm 节点的创新,英特尔有着一条清晰的路径。”英特尔 CEO 帕特?基辛格发表演讲时谈道,“英特尔的最新命名体系,是基于我们客户看重的关键技术参数而提出的,即性能、功率和面积。”


当然,这次的改革有一点让网友感觉惊讶的地方,那就是Intel真的如之前网友调侃的段子那样,上来就玩了一把给工艺改名的游戏——年底的10nm ESF工艺改名为Intel 7,计划中的7nm改名为Intel 4,未来还会继续推出Intel 3工艺,这次的改名直接让Intel跟台积电站到同一起跑线上了,毕竟台积电2023年量产的也是3nm工艺,同样也是最后一代FinFET工艺。


图片

调侃归调侃,但是Intel这次推出的工艺不仅仅是改名那么简单,有一件事非常值得关注,那就是Intel要率先从纳米时代进入埃米时代(Ångstrom,1纳米等于10埃米),这就是Intel预计2024年推出的Intel 20A工艺,其中的A就指的是埃米。


到了埃米时代,20A工艺有两大革命性新技术,RibbonFET及PowerVia,前者就是类似三星的GAA环绕栅极晶体管,PoerVia则首创取消晶圆前侧的供电走线,改用后置供电,也可以优化信号传输。


现在20A工艺的具体细节还没公布,但从字面意义上来看,20A差不多是2nm工艺的水平,倒也符合3nm之后的摩尔定律进步。


如果用一句话解释Intel的目标,那就是CEO基辛格日前在媒体采访中的表态——在2024年到2025年间,Intel将重返半导体技术领先地位。



03


快速落地的代工服务


随着英特尔全新 IDM2.0 战略的实施,封装对于实现摩尔定律变得更加重要。英特尔宣布,AWS 将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。


EMIB 作为首个 2.5D 嵌入式桥接解决方案将继续引领行业,英特尔自 2017 年以来一直在出货 EMIB 产品。Sapphire Rapids 将成为采用 EMIB(嵌入式多芯片互连桥接)批量出货的首个至强数据中心产品,也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继 Sapphire Rapids 之后,下一代 EMIB 的凸点间距将从 55μm 缩短至 45μm。


图片


为了继续保持在先进封装领域的领导地位,英特尔正着眼于 2023 年交付 Foveros Omni 和 Foveros Direct 之外的其他未来规划,将在未来几代技术中从电子封装过渡到集成硅光子学的光学封装。


英特尔将继续与包括 Leti、IMEC 和 IBM 在内的产业伙伴密切合作,在以上和其他诸多创新领域进一步发展制程和封装技术。



04


Meteor Lake处理器Q3试产


而在消费市场,2021年对Intel来说异常重要,今年该公司的制程工艺终于走上正轨,现在已经传来多个喜讯——10nm成本大降45%,产能也超过了14nm,成为新的主力,7nm工艺进展良好,2023年随着Meteor Lake首发。


Meteor Lake是Intel下下下代酷睿处理器,按照规划应该是14代酷睿,中间隔着10nm工艺的12代酷睿Alder Lake、13代酷睿Raptor Lake,后两者使用的是LGA1700插槽,Meteor Lake传闻是LGA1800插槽。


虽然7nm工艺跟最初宣布的进度相比也延期了至少1年,不过这一次的进展不错,Meteor Lake处理器今年5月份的时候已经完成芯片的“Tape-in”。Tape-in在Tape-Out(流片)前,大概是IP模块完成设计验证阶段。


图片


设计完成之后,下一步就要准备流片、验证了,Intel人员提到Q3季度7nm的Meteor Lake处理器就会在第一条7nm生产线上试产(意味着最晚不过2个月时间),当然这还是很早期的工程样品,具体情况还没有什么信息。


7nm工艺会是Intel的一次翻身仗,虽然2023年量产的时候友商已经有5nm、3nm工艺了,但是Intel的7nm工艺晶体管密度达到了1.8亿晶体管每平方毫米,技术水平追上台积电的5nm、三星3nm工艺。


对于Meteor Lake处理器,除了7nm工艺之外,这次还会用上Foveros 3D封装技术,里面会集成不同工艺的IP核心,这也是Intel首次在主流桌面处理器用上多芯片封装技术,以前的胶水多核只是简单的2D封装,现在是3D封装多芯片结构了。


本文出自2021-07-26出版的《电脑报》2021年第29期 C.笔记本电脑
(网站编辑:zoey)


我来说两句(0人参与讨论)
发表给力评论!看新闻,说两句。
匿名 ctrl+enter快捷提交